Login
欢迎光临太航半导体

XC2C32A-6VQG44C嵌入式 CPLD(复杂可编程逻辑器件)

技术参数品牌:XILINX型号:XC2C32A-6VQG44C封装:QFP批次:刚刚到货数量:1800对无铅要求的达标情况/对限制有害物质指令(RoHS)规范的达标情况:无铅/符合限制有害物质指令(RoHS3)规范要求湿气敏感性等级 (MSL):3(168 小时)类别:有源产品族:集成电路(IC)系列:嵌入式 - CPLD(复杂可编程逻辑器件)可编程类型:系统内可编程延迟时间 tpd(1) 最大值...

图片.png

技术参数

品牌:XILINX
型号:XC2C32A-6VQG44C
封装:QFP
批次:刚刚到货
数量:1800
对无铅要求的达标情况/对限制有害物质指令(RoHS)规范的达标情况:无铅/符合限制有害物质指令(RoHS3)规范要求
湿气敏感性等级 (MSL):3(168 小时)
类别:有源
产品族:集成电路(IC)
系列:嵌入式 - CPLD(复杂可编程逻辑器件)
可编程类型:系统内可编程
延迟时间 tpd(1) 最大值:5.5ns
供电电压 - 内部:1.7V ~ 1.9V
逻辑元件/块数:2
宏单元数:32
栅极数:750
I/O 数:33
工作温度:0°C ~ 70°C(TA)
安装类型:表面贴装型
封装/外壳:44-TQFP

特征
•针对1.8V系统进行了优化
-业界最快的低功耗CPLD
-32至512个宏细胞的密度
•业界最佳的0.18微米CMOS CPLD
-有效逻辑合成的优化架构
-多电压I/O操作-1.5V至3.3V
•高级系统功能
-系统编程速度最快
·使用IEEE 1532(JTAG)接口的1.8V ISP
-实时重构(OTF)
-IEEE1149.1 JTAG边界扫描测试
-可选施密特触发器输入(每个引脚)
-所有设备上的多个I/O组
-无与伦比的低功耗管理
·DataGATE外部信号控制
-灵活的计时模式
·可选DualEDGE触发寄存器
·时钟分频器(÷2,4,6,8,10,12,14,16)
·冷却时钟
-具有宏小区控制的全局信号选项
·每个具有相位选择的多个全局时钟宏细胞
·多个全局输出支持
·全局设置/重置
-丰富的产品术语时钟、输出使能和设置/重置
-高效的控制项时钟、输出使能和为每个宏单元设置/重置并共享功能块
-高级设计安全
-有线OR和LED的开漏输出选项驾驶
-可选总线保持、3状态或选择时的弱上拉I/O引脚
-未使用I/O上的可选可配置接地
-与1.5V、1.8V和1.5V兼容的混合I/O电压,所有部件上的2.5V和3.3V逻辑电平

-128上的SSTL2_1、SSTL3_1和HSTL_1宏单元和密度更高的器件
-可热插拔
•PLA架构
-卓越的引脚保持力
-跨功能块的100%产品术语可路由性
•广泛的包装可用性,包括细间距:
-芯片级封装(CSP)BGA,TQFP、PQFP,VQFP和QFN封装
-所有包装均无铅
•使用Xilinx和工业进行设计输入/验证标准CAE工具
•使用Xilinx®为所有密度提供免费软件支持WebPACK(网络包)™ 工具
•业界领先的非易失性0.18微米CMOS过程
-保证1000个编程/擦除周期
-保证20年数据保留

系列概述
Xilinx CoolRunner™-II CPLD提供高速和与XC9500/XL/XV CPLD系列相关的易用性-具有XPLA3极低功耗的多功能性一个CPLD中的家庭。这意味着完全相同部件可用于高速数据通信/计算系统和领先的便携式产品,具有系统内编程的额外好处。低的功耗和高速运行-合并成一个易于使用且成本效益高的单一家庭-积极的。计时技术和其他省电功能延长用户的电力预算。设计特点是支持从Xilinx ISE®4.1i WebPACK工具开始。

400-900-8098
工作时间:09:00 - 17:00
产品索引: A B C D E F G H I J K L M N O P Q R S T U V W X Y Z 0 1 2 3 4 5 6 7 8 9
24小时在线客服
24小时热线电话

添加微信咨询

添加微信咨询

添加微信咨询